LoginSignup
1
0

More than 3 years have passed since last update.

高位合成言語アセンブラを作る。 その8

Posted at

概要

高位合成言語アセンブラを作る。
練習問題、やってみた。

フリップフロップを作れ。

make 4
in 0 1
nor 3 1 2
nor 0 2 3
out 2 3

コンパイル結果

module x(input a, input b, output c, output d);
    assign c = ~(d | b);
    assign d = ~(a | c);
endmodule

module testbench;
    reg a, b;
    x u(.a(a), .b(b), .c(c), .d(d));
    initial
    begin
        $display("a b c d ");
        $monitor("%b %b %b %b ", a, b, c, d);
        a = 0; b = 0; #10;
        a = 0; b = 1; #10;
        a = 1; b = 0; #10;
        a = 1; b = 1; #10;
        $finish;
    end
endmodule





実行結果


a b c d
0 0 x x
0 1 0 1
1 0 1 0
1 1 0 0


以上。

1
0
0

Register as a new user and use Qiita more conveniently

  1. You get articles that match your needs
  2. You can efficiently read back useful information
  3. You can use dark theme
What you can do with signing up
1
0