LoginSignup
1
0

More than 3 years have passed since last update.

高位合成言語アセンブラを作る。 その5

Posted at

概要

高位合成言語アセンブラを作る。
ソースから、verilogを生成するコンパイラを作った。
生成したverilogをiverilogで実行した。

実行結果


a b c d
0 0 0 0
0 1 1 1
1 0 1 1
1 1 0 1


以上。

1
0
0

Register as a new user and use Qiita more conveniently

  1. You get articles that match your needs
  2. You can efficiently read back useful information
  3. You can use dark theme
What you can do with signing up
1
0