概要
中古ノート買ってみた。
iverilog、入れてみた。
参考にしたページ
インストール手順
iverilog-v12-20220611-x64_setup.exeをダウンロード
コンパイル手順
サンプルコード
module test;
reg a,
b;
wire c;
integer i,
j;
xor(c, a, b);
initial
begin
$display("a b c");
$monitor("%b %b %b", a, b, c);
for(i = 0; i < 2; i = i + 1)
begin
for(j = 0; j < 2; j = j + 1)
begin
a = i;
b = j;
#10;
end
end
$finish;
end
endmodule
手順
>set PATH=C:\iverilog\bin;%PATH%
>iverilog xor.v
>vvp a.out
a b c
0 0 0
0 1 1
1 0 1
1 1 0
xor.v:31: $finish called at 40 (1s)
以上。