LoginSignup
0
0

windowsでiverilog その144

Last updated at Posted at 2024-02-07

概要 

windowsでiverilogやってみた。
cpu(kemu)見つけたので、アセンブラ、シミュレーター書いてみた。

写真

image.png

投入したアセンブラ

「hello」を出力。

  LD      ACC, 104
  OUT
  LD      ACC, 101
  OUT
  LD      ACC, 108
  OUT
  LD      ACC, 108
  OUT
  LD      ACC, 111
  OUT
  nop
  HLT
  END

生成されたバイナリー

62 68 10 62 65 10 62 6c 10 62 6c 10 62 6f 10 00 0f

ステップ実行結果


pc acc flag out phase
01  00  00  00  01  
01  00  00  00  02  
02  00  00  00  03  
02  68  00  00  00  
pc acc flag out phase
03  68  00  00  01  
03  68  00  00  02  
03  68  00  68  03  
03  68  00  68  00  
pc acc flag out phase
04  68  00  68  01  
04  68  00  68  02  
05  68  00  68  03  
05  65  00  68  00  
pc acc flag out phase
06  65  00  68  01  
06  65  00  68  02  
06  65  00  65  03  
06  65  00  65  00  
pc acc flag out phase
07  65  00  65  01  
07  65  00  65  02  
08  65  00  65  03  
08  6c  00  65  00  
pc acc flag out phase
09  6c  00  65  01  
09  6c  00  65  02  
09  6c  00  6c  03  
09  6c  00  6c  00  
pc acc flag out phase
0a  6c  00  6c  01  
0a  6c  00  6c  02  
0b  6c  00  6c  03  
0b  6c  00  6c  00  
pc acc flag out phase
0c  6c  00  6c  01  
0c  6c  00  6c  02  
0c  6c  00  6c  03  
0c  6c  00  6c  00  
pc acc flag out phase
0d  6c  00  6c  01  
0d  6c  00  6c  02  
0e  6c  00  6c  03  
0e  6f  00  6c  00  
pc acc flag out phase
0f  6f  00  6c  01  
0f  6f  00  6c  02  
0f  6f  00  6f  03  
0f  6f  00  6f  00  
pc acc flag out phase
10  6f  00  6f  01  
10  6f  00  6f  02  
10  6f  00  6f  00  
pc acc flag out phase
11  6f  00  6f  01  
11  6f  00  6f  02  
11  6f  00  6f  00  
pc acc flag out phase
11  6f  00  6f  00  

成果物

以上。

0
0
0

Register as a new user and use Qiita more conveniently

  1. You get articles that match your needs
  2. You can efficiently read back useful information
  3. You can use dark theme
What you can do with signing up
0
0