LoginSignup
2
0

More than 3 years have passed since last update.

Longan nano のLチカを別の色でやってみる

Last updated at Posted at 2019-11-18

Longan nano、秋月電子も扱っているので入手しやすくなりましたね。

Longan Nano 爆速Tips - 環境設定~Lチカ編 -

では、赤色のLEDを光らせていました。
Longan nanoに載っているLEDはRGBなので赤色以外も光らせられるはずです。

やってみましょう

LED PINの確認

longan-nano-blinkのソースから分かる通り、赤色はPC13になっています。
緑色、青色はどこでしょう?

答えはLongan nanoの回路図に載ってました。

longan_LED.jpg

緑はPA1、青はPA2ということがわかりました。

緑のLEDをチカチカさせよう

私はプログラミングの知識が乏しいので、サンプルプログラムをあさりました。

GD32VF103_Demo_Suites_V1.0.2.rar

この中に、運良く「01_GPIO_Running_LED」というデモがありました。
これは、PA7につながっているLED(たぶん、BOOT0ボタンの近くにあるLED)を点滅させるもののようです。

PA7もアナログですので、PA1,2を制御するのも基本的には同じはずです。

longan-nano-blinkのmain.cの中身を以下にして、ビルドして書き込みます。


#include "gd32vf103.h"
#include "systick.h"
#include <stdio.h>

/* GREEN LED OF LONGAN BOARDS IS PIN PA1 */
#define LED_PIN GPIO_PIN_1



int main(void)
{  
    /* enable the LED clock */
    rcu_periph_clock_enable(RCU_GPIOA);

    /* configure LED GPIO port */
    gpio_init(GPIOA, GPIO_MODE_OUT_PP, GPIO_OSPEED_50MHZ, LED_PIN);


    gpio_bit_reset(GPIOA, LED_PIN);



    while(1){
        /* insert 500 ms delay */
        delay_1ms(500);

        /* toggle the LED */ 
        gpio_bit_write(GPIOA, LED_PIN, (bit_status)(1-gpio_input_bit_get(GPIOA, LED_PIN)));

        /* insert 500 ms delay */
        delay_1ms(500);


    }
}

うまくいきました。

#define LED_PIN GPIO_PIN_1

の「1」を2にすると、青色になります。

2
0
0

Register as a new user and use Qiita more conveniently

  1. You get articles that match your needs
  2. You can efficiently read back useful information
  3. You can use dark theme
What you can do with signing up
2
0