0
1

ビジュアルプログラミングで高位合成

Last updated at Posted at 2024-09-17

概要

ビジュアルプログラミングで組み合わせ回路を組み立てて、シュミレーションして、verilogを生成します。
高位合成です。
構想編

目標

ビジュアルプログラミングで、組み合わせ回路を記述します。

image.png

Toggle Buttonをクリックして、シュミレーションできます。

verilogを生成します。


module x(input in0, input in1, output out0);
	assign out0 = (in0 ^ in1);
endmodule


仕組み

  • javascriptを使います。
  • ビジュアルプログラミングは、simcirjsで行います。
  • 設置には、Plunkerを使います。
  • 必ず、Inデバイス、Outデバイスが必要です。
  • DC、Toggle Button、LEDを繋げば、シュミレーションできます。
  • 論理デバイスは、XOR、NOT、AND、NAND、OR、NOR、XNORを用意します。
  • ネットリストをjsonで出力します。
  • jsonをパースして、入力、出力、論理デバイスを取り出します。
  • verilogテンプレートを用意して、入力、出力、論理デバイスを組み込みます。
  • verilogを書き出します。
  • 順序回路には、対応しません。
  • 発動は、ctrl + クリックです。

以上。

0
1
0

Register as a new user and use Qiita more conveniently

  1. You get articles that match your needs
  2. You can efficiently read back useful information
  3. You can use dark theme
What you can do with signing up
0
1