LoginSignup
1
0

More than 3 years have passed since last update.

高位合成言語アセンブラを作る。 その11

Posted at

概要

高位合成言語アセンブラを作る。
全加算器を作った。
nビット加算器メーカーを作った。

閃き

generateでつくれるんじゃね。

成果物

iverilog実行結果


   x +    x =   x
  12 +  100 = 112
 127 +  127 = 254
 180 +   50 = 230
 255 +    1 =   0
  10 +   10 =  20


以上。

1
0
0

Register as a new user and use Qiita more conveniently

  1. You get articles that match your needs
  2. You can efficiently read back useful information
  3. You can use dark theme
What you can do with signing up
1
0