プルアップ設定
いつも使用しているFPGAボード内部に、プルアップ抵抗の設定ができることがわかったので、本記事では、プルアップ有無時の動作の確認を行う。
VHDLコード
今回のコードは自明。BTN(ボタン押下)をLED(点灯)に結びつけるのみ。
Pullup.vhd
library ieee;
use ieee.std_logic_1164.all;
entity Pullup is
port (
BTN: in std_logic;
LED: out std_logic);
end;
architecture RTL of Pullup is
begin
LED <= BTN;
end RTL;
Quartusの設定
デフォルトでは、プルアップ設定を行うことができない。設定を行うために、Pin Plannerメニューで、BTNやLEDあたりで右クリックすると、「Customize Columns...」が見つかるので、これをクリック。
次に「Weak Pull-Up Resistor」を選び、コラムに追加することにより、設定を行えるようになる(表示される)。
プルアップ未設定時の表示
プルアップ設定時の表示
実験
ちなみに、本ボードのVddピン(電源)やGNDピン情報については、その8の外部入出力コネクタ情報を参照されたい。
FPGA内でプルアップ未設定
青枠内にプルアップ用抵抗がある。ボタン押下でLEDが点灯(負論理、下に見える緑色が
LED)。
FPGA内でプルアップ設定
成功。