外部入出力を確認
今回は、FPGAというより、 いつも使っているボード(その1参照)であるOdyssey MAX10 FPGA Eval Kitの外部入力ピンが動作することを確認する。
外部入出力コネクタ情報
Odyssey MAX10の回路図から、ピン情報を引用。
ここでは、DIGITAL12(J4コネクタのPin1)とGND(J3コネクタのPin14)とを用い、前者にLEDを接続する。
MAX10のピンアサイン情報
同回路図から、DIGITAL12は、MAX10のF12にアサインされていることがわかる。
また、ボードのボタン1(PB1)も使う。これは、MAX10のJ12にアサインされている。
確認内容
ボードのボタン1を押したら、外部に接続されたLEDが点灯、、というシンプルなもの。
VHDLコード
ExtLed.vhd
library ieee;
use ieee.std_logic_1164.all;
entity ExtLed is
port (
BUTTON: in std_logic;
EXTLED: out std_logic);
end ExtLed;
architecture rtl of ExtLed is
begin
EXTLED <= not BUTTON; -- '0':Off '1':On
end rtl;
ほぼ自明のため、解説省略。
Quartusでのピンアサイン
実験
成功。
おまけ
DIGITAL12(F12)ではなく、ANALOG_SIG1(D1)やLVDS_CLK(H5)にLEDを接続しても点灯。