0
0

Delete article

Deleted articles cannot be recovered.

Draft of this article would be also deleted.

Are you sure you want to delete this article?

Icarus Verilog + GTKWave windows版 導入までの備忘録

Last updated at Posted at 2025-07-06

Icarus Verilog + GTKWave windows インストール の備忘録

Icarus Verilog + GTKWave インストール

以下のサイトから最新をダウンロード。
https://bleyer.org/icarus/

環境変数への追加はチェックしておく
image.png

cmdより iverilog -help で使い方が表示されたら正しくインストールされている

image.png

テストベンチ記法の注意点

テストベンチに以下のような記述を付け足す

    initial begin
        $dumpfile("uart_sim.vcd"); // VCDファイル名を指定
        $dumpvars(0, tb_uart);     // tb_uartモジュール内の全信号をダンプ
    end

以下の記述でクロック周期を決めている
5nsでON/OFF➡1周期10ns=100MHz

always #5ns clk<=!clk;

コンパイル方法

cmdより以下のような形式でコマンドを実行する

iverilog -o 出力実行ファイル名 Verilogソースコードファイル名
トップモジュール以外にも、全ての下位モジュールを記述する。

iverilog -g2012 -o uart_sim tb_uart.sv tx.sv rx.sv
vvp uart_sim

image.png

最終的に***.vcdファイルが出来上がる

波形の確認

C:\iverilog\gtkwave\bin にあるgtkwave.exe を起動する
file➡open new tab で .vcdファイルを選択する。

見たい信号を選択し、拡大縮小などを行う

image.png

以上

0
0
0

Register as a new user and use Qiita more conveniently

  1. You get articles that match your needs
  2. You can efficiently read back useful information
  3. You can use dark theme
What you can do with signing up
0
0

Delete article

Deleted articles cannot be recovered.

Draft of this article would be also deleted.

Are you sure you want to delete this article?