Icarus Verilog + GTKWave windows インストール の備忘録
Icarus Verilog + GTKWave インストール
以下のサイトから最新をダウンロード。
https://bleyer.org/icarus/
cmdより iverilog -help で使い方が表示されたら正しくインストールされている
テストベンチ記法の注意点
テストベンチに以下のような記述を付け足す
initial begin
$dumpfile("uart_sim.vcd"); // VCDファイル名を指定
$dumpvars(0, tb_uart); // tb_uartモジュール内の全信号をダンプ
end
以下の記述でクロック周期を決めている
5nsでON/OFF➡1周期10ns=100MHz
always #5ns clk<=!clk;
コンパイル方法
cmdより以下のような形式でコマンドを実行する
iverilog -o 出力実行ファイル名 Verilogソースコードファイル名
トップモジュール以外にも、全ての下位モジュールを記述する。
iverilog -g2012 -o uart_sim tb_uart.sv tx.sv rx.sv
vvp uart_sim
最終的に***.vcdファイルが出来上がる
波形の確認
C:\iverilog\gtkwave\bin にあるgtkwave.exe を起動する
file➡open new tab で .vcdファイルを選択する。
見たい信号を選択し、拡大縮小などを行う
以上