LoginSignup
3
1

More than 5 years have passed since last update.

えっ? SystemVerilog って、新しくなったんです?

Last updated at Posted at 2019-01-01

HDL 関係の日本語の文献が少ないぞー!!

FPGA/CPLD の開発言語には、大きく分けて VHDL と Veriog HDL があります。
製本された日本語の文献を探していると、この2種類の内のほぼ何れかになり、最近はあまり出ていない様な印象です。この状態で日本の産業はいいのかぁ~!って思わないでしょうか。

言っても仕方が無いのですが。。。

英語の文献もあたってみる。

最新の文献を当たろうとすると SystemVerilog という言語が目にとまるので、調べていくと、IEEE 1800-2012 が最新版らしい。
原典が規格関係か。。。 高いんだろうなぁ。。。と逃げ腰になっていると、どうやら無料でダウンロード出来る模様。一方。数少ない日本語の文献を発行していた機関( 株式会社 半導体理工学研究センター http://www.starc.jp/ )は既に無く、国力の違いを見せつけられた思いを感じながら、ポチポチとクリック。

ところで IEEE GET Program って何?

URL: https://ieeexplore.ieee.org/browse/standards/get-program/page/series?id=80 を見ると、『スポンサーの御陰で無料でダウンロード出来るやで。欲しかったらIEEEアカウント作ってやー。』
とあり、無料で入手出来る規格も蒼々たる顔ぶれ。因みに、以下の通り。
- 1666-2011 - IEEE Standard for Standard SystemC Language Reference Manual
- 1666.1-2016 - IEEE Standard for Standard SystemC(R) Analog/Mixed-Signal Extensions Language Reference Manual
- 1685-2014 - IEEE Standard for IP-XACT, Standard Structure for Packaging, Integrating, and Reusing IP within Tool Flows
- 1735-2014 - IEEE Recommended Practice for Encryption and Management of Electronic Design Intellectual Property (IP)
- 1800-2017 - IEEE Standard for SystemVerilog--Unified Hardware Design, Specification, and Verification Language
- 1800.2-2017 - IEEE Standard for Universal Verification Methodology Language Reference Manual
欲しいものもあるので、IEEEのアカウントを作って、ダウンロードします。

IEEE 1800 を読む。

お正月に読むつもりでしたが、分量に圧倒されて挫折しました。。。。(吐血)
日本語で書かれたページを探して、写経を続けるしかないかしらね。。。

(追記) あの VHDL は・・・

URL: https://ieeexplore.ieee.org/document/4772740/figures にあります。
- 1076-2008 - IEEE Standard VHDL Language Reference Manual
ですが、こちらは有償の模様。。。

3
1
6

Register as a new user and use Qiita more conveniently

  1. You get articles that match your needs
  2. You can efficiently read back useful information
  3. You can use dark theme
What you can do with signing up
3
1