LoginSignup
4
3

More than 5 years have passed since last update.

[SystemVerilog]Sublime Text 3で簡単なSyntaxチェック

Posted at

試しにやってみたらうまくいったのでメモ。

必要なもの

ModelSim ASE

Sublime Textでビルドって?

Sublime Textにはビルドシステムを備えていて、Windowsだと、Ctrl+bで書かれた言語をビルドすることができる。

Sublime Text 3ではPythonやRubyのビルドシステムが入っている。

ビルドの設定はJSONで記述する。詳しいところはこちらに書かれている。
http://sublime-text-unofficial-documentation.readthedocs.org/en/latest/reference/build_systems.html

JavaScriptとかは探すと出てくる。
SystemVerilog?ありませんよ。

というわけで作ってみました。環境はWindows 7です。

作成

Tools->Build System->New Build System...を選択すると、以下のような雛型が生成される。

untiltled.sublime-build
{
    "shell_cmd": "make"
}

ModelSim ASEを前提なので、下記のようなファイルを作ってみた。

SystemVerilog.sublime-build
{
    "cmd": ["vlib", "work"],
    "variants": [
        {
            "cmd": ["vlog", "-sv", "${file}" ],
            "name": "Run"
        }
    ]
}

うまく保存できていれば、下記のようにビルドシステムにSystemVerilogが現れる。
tool.png

実行

サンプルコード

tb.sv
module tb();

  initial begin

     $write("Hello, World\n");

  end

endmodule

Ctrl+b実行直後

build.png

その後、Ctrl+Shift+b

うまくいくとこんな感じ
run.png

Typoがあるとこうなる。
error.png

おわりに

自分のようにTypoが結構ある場合は、先に vlib workさせて、"cmd": ["vlog", "-sv", "${file}" ]のみだけ記述し、Ctrl+bだけSyntaxチェックさせた方が良いのかもしれない。

あくまでSyntaxチェックだけなので、本格的にやる場合はツールをつかうということで。いや、エラボレーションまでできるかなぁ?

4
3
0

Register as a new user and use Qiita more conveniently

  1. You get articles that match your needs
  2. You can efficiently read back useful information
  3. You can use dark theme
What you can do with signing up
4
3