試しにやってみたらうまくいったのでメモ。
##必要なもの
ModelSim ASE
##Sublime Textでビルドって?
Sublime Textにはビルドシステムを備えていて、Windowsだと、Ctrl
+b
で書かれた言語をビルドすることができる。
Sublime Text 3ではPythonやRubyのビルドシステムが入っている。
ビルドの設定はJSONで記述する。詳しいところはこちらに書かれている。
http://sublime-text-unofficial-documentation.readthedocs.org/en/latest/reference/build_systems.html
JavaScriptとかは探すと出てくる。
SystemVerilog?ありませんよ。
というわけで作ってみました。環境はWindows 7です。
##作成
Tools
->Build System
->New Build System...
を選択すると、以下のような雛型が生成される。
{
"shell_cmd": "make"
}
ModelSim ASEを前提なので、下記のようなファイルを作ってみた。
{
"cmd": ["vlib", "work"],
"variants": [
{
"cmd": ["vlog", "-sv", "${file}" ],
"name": "Run"
}
]
}
うまく保存できていれば、下記のようにビルドシステムにSystemVerilogが現れる。
##実行
サンプルコード
module tb();
initial begin
$write("Hello, World\n");
end
endmodule
###その後、Ctrl
+Shift
+b
うまくいくとこんな感じ
##おわりに
自分のようにTypoが結構ある場合は、先に vlib work
させて、"cmd": ["vlog", "-sv", "${file}" ]
のみだけ記述し、Ctrl
+b
だけSyntaxチェックさせた方が良いのかもしれない。
あくまでSyntaxチェックだけなので、本格的にやる場合はツールをつかうということで。いや、エラボレーションまでできるかなぁ?