漢なら FPGA ですね!
Verilator を使うと Verilog コードを C/C++ に変換してシミュレーションしてれるので試してみましょう.
シミュレータセットアップ
verilator を入れます. ubuntu なら apt-get で入ります.
$ sudo apt-get install verilator
シミュレーションしてみる
Verilator のドキュメント
を参考にして簡単な入門コードを書いてみます.
$ cat our.v
module our;
initial begin $display("Hello World"); $finish; end
endmodule
our はなにやら表示するモジュールのようです.
$ cat sim_main.cpp
#include "Vour.h"
#include "verilated.h"
int main(int argc, char **argv, char **env) {
Verilated::commandArgs(argc, argv);
Vour* top = new Vour;
while (!Verilated::gotFinish()) { top->eval(); }
delete top;
exit(0);
}
Verilator が吐いた C/C++ コードを回してシミュレーションさせる C++ コードです.
Verilator でコードを吐いてみます.
$ verilator -Wall --cc our.v --exe sim_main.cpp
obj_dir ディレクトリが出来て一式そこにコードが生成されます. Make してシミュレーション実行バイナリを作ります.
$ cd obj_dir
$ make -f Vour.mk Vour
$ ./Vour
Hello World
- our.v:2: Verilog $finish
Cool! Verilog コードのシミュレーションに成功しました.
これで実 FPGA ボードがなくてもまずはいろいろ試していけそうですね!