概要
プロデルが、CLRらしいので、調べて見た。
練習問題、やってみた。
練習問題
iverilogを、ビルド、ラン、せよ。
写真
サンプルコード
メイン画面を表示する
待機する
メイン画面とは
ウィンドウを継承
はじめの手順
初期化する
終わり
初期化する手順
この内容を「iverilog」に変える
この内部領域大きさを{400,500}に変える
メッセージ欄という文章テキストを作る
その内容を「module HelloWorld();
initial
begin
$display("Hello, World!");
$finish;
end
endmodule」に変える
その位置と大きさを{20,12,380,277}に変える
buildボタンというボタンを作る
その内容を「build」に変える
その位置と大きさを{96,300,65,23}に変える
そのクリックされた時の手順は、ビルド
runボタンというボタンを作る
その内容を「run」に変える
その位置と大きさを{96,330,65,23}に変える
そのクリックされた時の手順は、ラン
出力欄という文章テキストを作る
その位置と大きさを{20,370,300,130}に変える
この設計スケール比率を{96, 96}に変える
終わり
ビルドの手順
ファイル名は「test.v」
内容は、メッセージ欄の内容
内容をファイル名へ保存する
WScriptShellというCOM型(「WScript.Shell」)を作る
WScriptShellからインスタンスを作ってsaとする
sa:Exec(「cmd.exe /c iverilog test.v > res.txt」)
結果ファイルは、「res.txt」
結果ファイルというファイルが存在するなら
結果データは、結果ファイルから読み込む
そして
結果データを表示する
終わり
ランの手順
WScriptShellというCOM型(「WScript.Shell」)を作る
WScriptShellからインスタンスを作ってsaとする
sa:Exec(「cmd.exe /c vvp a.out > res.txt」)
結果ファイルは、「res.txt」
結果ファイルというファイルが存在するなら
結果データは、結果ファイルから読み込む
そして
結果データを表示する
終わり
終わり
以上。