0
2

ビジュアルプログラミングで高位合成 その8

Posted at

概要

ビジュアルプログラミングで組み合わせ回路を組み立てて、シュミレーションして、verilogを生成します。
高位合成です。
実は、今の実装では、全加算器が高位合成できません。
全加算器の高位合成を計画します。
ワイヤーの概念を導入します。
構想編

論理デバイスと論理デバイスが繋がっている。

今のコードでは、対応できない。

image.png

ワイヤーの概念を導入する。

論理デバイスと論理デバイスが繋がっている場合、ワイヤーがあると設定する。

生成されるverilog

module x(input B, input A, input Cin, output Sum, output Cout);
    wire w0;
    wire w1;
    wire w2;
	assign Sum = (Cin ^ w0);
	assign w0 = (A ^ B);
	assign w1 = (A & B);
	assign Cout = (w1 | w2);
	assign w2 = (Cin & w0);
endmodule

以上。

0
2
0

Register as a new user and use Qiita more conveniently

  1. You get articles that match your needs
  2. You can efficiently read back useful information
  3. You can use dark theme
What you can do with signing up
0
2