0
0

Delete article

Deleted articles cannot be recovered.

Draft of this article would be also deleted.

Are you sure you want to delete this article?

More than 3 years have passed since last update.

高位合成言語アセンブラを作る。 その2

Posted at

#概要

高位合成言語アセンブラを作る。
命令セットを作る。

#make 4

回路を作る。
inputが2つで、outputが2つ、なら4。

#in 0 2

inputを作る。
0,1,2がinputならば、in 0 2

#out 3 5

outputを作る。
3,4,5がoutputならば、out 3 5

#wire 2 4

中間wireを作る。
2,3,4がwireならば、wire 2 4

#xor 0 1 2

xorを作る。
0,1が入力で、3が出力ならば、xor 0 1 2

#and 0 1 2

andを作る。
0,1が入力で、3が出力ならば、and 0 1 2

#nand 0 1 2

nandを作る。
0,1が入力で、3が出力ならば、nand 0 1 2

#or 0 1 2

orを作る。
0,1が入力で、3が出力ならば、or 0 1 2

#nor 0 1 2

norを作る。
0,1が入力で、3が出力ならば、nor 0 1 2

#not 0 1

notを作る。
0が入力で、1が出力ならば、not 0 1

以上。

0
0
0

Register as a new user and use Qiita more conveniently

  1. You get articles that match your needs
  2. You can efficiently read back useful information
  3. You can use dark theme
What you can do with signing up
0
0

Delete article

Deleted articles cannot be recovered.

Draft of this article would be also deleted.

Are you sure you want to delete this article?