LoginSignup
1
0

高位合成言語アセンブラを作る。 その17

Last updated at Posted at 2021-01-14

概要

高位合成言語アセンブラを作る。
7セグメントLEDのデコーダを書いてみる。
まずは、真理値表を書く。

閃き

ルックアップテーブルを使って、論理式を書かない。

コマンド

lut

全体のテーブルの大きさ。

lin

入力のbit数

lout

出力のbit数。

code

入力の値、出力の値

コードを書く。

lut 12
lin 4
lout 8
code 0 7E
code 1 30
code 2 6d
code 3 79
code 4 33
code 5 5b
code 6 5f
code 7 72
code 8 7f
code 9 7b

結果

無題.jpg

成果物

以上。

1
0
0

Register as a new user and use Qiita more conveniently

  1. You get articles that match your needs
  2. You can efficiently read back useful information
  3. You can use dark theme
What you can do with signing up
1
0