LoginSignup
0
0

More than 1 year has passed since last update.

windowsでiverilog その131

Posted at

概要

 
windowsでiverilogやってみた。
H8300Hのverilog見つけたので、コンパイルしてみた。

参考にしたページ

コンパイル手順

>iverilog alu.v alu_test.v  decoder.v ea_unit.v H8.v h8_ram_module.v h8_test.v  mul_div_module.v ram2port.v ram512x8_0.v ram512x8_1.v  ram512x8_2.v ram512x8_3.v ram512x8_4.v  ram512x8_5.v ram512x8_6.v  ram512x8_7.v reg_file.v altsyncram.v              

>vvp a.out

Warning: read_during_write_mode_mixed_ports is assumed as   OLD_DATA
Time: 0  Instance: ram2port.altsyncram_component
xxxxxx
ALU ERROR
000000
000100
000106
00010c
000112
000118
00011a
000124
00012a
000130
000132
000134
000136
000138
00013a
000132
000134
000136
000138
00013a
000132
000134
000136
000138
00013a
000132
000134
000136
000138
00013a
000132
000134
000136
000138
00013a
000132
000134
000136
000138
00013a
000132
000134
000136
000138
00013a
000132
000134
000136
000138
** VVP Stop(0) **
** Flushing output streams.
** Current simulation time is 2630000 ticks.
> finish
** Continue **



以上。

0
0
0

Register as a new user and use Qiita more conveniently

  1. You get articles that match your needs
  2. You can efficiently read back useful information
  3. You can use dark theme
What you can do with signing up
0
0