概要
windowsでiverilogやってみた。
自作cpu、見つけたので、調査してみた。
テストベンチやってみた。
参考にしたページ
サンプルコード
module test;
reg clk;
reg reset;
wire [3:0] acc;
wire [3:0] mem_out;
SimpleCPU u(.clk(clk), .reset(reset), .acc(acc), .mem_out(mem_out));
initial
begin
clk = 0;
forever #5 clk = ~clk;
end
initial
begin
reset = 1;
#10
reset = 0;
#100
$finish;
end
initial
begin
$display("Time ACC MEM[0]");
$monitor(" %d %b %b", $time, acc, mem_out);
end
endmodule
実行結果
>vvp a.out
Time ACC MEM[0]
0 0000 0101
15 0101 0101
25 1000 0101
35 1000 1000
sc0.v:70: $finish called at 110 (1s)
以上。