LoginSignup
0
0

More than 1 year has passed since last update.

vistaでquartus その33

Last updated at Posted at 2020-08-25

概要

vistaでquartusやってみた。
cpu作ってみた。
シミュレータで実行。
アセンブラでコンパイル。
実機で実行。

ソース

1-99を表示。

  push  1
  set  i
loop:
  get  i
  push  99
  >
pass:
  jz  pass 
  push  32
  out
  get  i
  push  10
  /
  push  48
  +
  out
  get  i
  push  10
  %
  push  48
  +
  out
  get  i
  push  1
  +
  set  i
  jmp loop
i:
  end

シミュレータで実行。

アセンブラでコンパイル。

実機で実行。

無題.jpg

以上。

0
0
0

Register as a new user and use Qiita more conveniently

  1. You get articles that match your needs
  2. You can efficiently read back useful information
  3. You can use dark theme
What you can do with signing up
0
0