LoginSignup
0
1

More than 3 years have passed since last update.

PYNQ-Z1 > SystemVerilog | UART > ボタン押下時にシリアルで0x41 ("A")を送信する (Tutorialの実行) | Jupyterの応答がなくなる

Last updated at Posted at 2020-06-13
動作環境
Windows 10 Pro (v1909) 
PYNQ-Z1 (Digilent) (以下、PYNQと表記)
Vivado v2019.1 (64-bit)
Analog Discovery 2 (以下、AD2と記載)

概要

  • System Verilogにてシリアル送信をする実装を試した
  • ボタン押下時に0x41("A")が送信される

Tutorial

情報感謝です。

上記とその続きをPYNQで試した。

  • serial_send.sv
  • serial_fpga.sv
  • serial_test.sv (Run Simulationでの確認をした)

ピン割当

PYNQのピンは以下を割り当てした。

  • CLK: H16 (LVCMOS33)
  • RST: D19 (LVCMOS33) => Button 0
  • TXD: Y18 (LVCMOS33) => PmodAの0ピン

Block Design

  • AXI-GPIOを追加
  • 8ビット, All outputに設定
  • 他はVivadoのAuto XXXを使用

コメント 2020-06-13 100037.png

AD2との接続

  • AD2: GND <=> PmodAのGND
  • AD2: D0 <=> PmodAの0ピン

実行

2020_06_13_0942_UART_send.ipynb

from pynq import Overlay
from pynq import PL
OL = Overlay("/home/xilinx/pynq/overlays/base/UART_send_2020_06_13.bit")
OL.download()

上記を実行するとJupyterの応答がない。この点は不明

AD2での確認

接続したAD2でUARTを読み取った。
Button0押下時に0x41 ("A")が読み取れている。

コメント 2020-06-13 095210.png

0
1
0

Register as a new user and use Qiita more conveniently

  1. You get articles that match your needs
  2. You can efficiently read back useful information
  3. You can use dark theme
What you can do with signing up
0
1